电子课程设计---八路彩灯循环显示
《电子课程设计---八路彩灯循环显示》由会员分享,可在线阅读,更多相关《电子课程设计---八路彩灯循环显示(9页珍藏版)》请在毕设资料网上搜索。
1、 1 电子课程设计 8 路彩灯显示 2 目目 录录 一、设计任务一、设计任务与要求与要求3 二、总体框图二、总体框图3 三、选择器件三、选择器件4 四、功能模块四、功能模块5 五、总体设计电路五、总体设计电路7 六、六、总结总结9 参考文献参考文献9 3 八路彩八路彩灯设计灯设计 一、一、 设计任务与要求设计任务与要求 控制 8 个 LED 进行花色显示,设计五种模式:LED 从左到右依次闪烁;LED 从右到左依次闪烁;LED 从中间到两边依次闪烁;LED 奇数指示灯依次闪烁; LED 偶数指示依次闪烁。五种模式循环切换,复位键(CLR)控制系统的运行 与停止。 二、二、 总体框图总体框图 方
2、案一: 图(1)方案一框图 时钟输入:给分频电路时钟脉冲; 计数器:控制五种循环模式的转换; 移位寄存器:通过移位实现每种模式的所有状态; LED 显示:彩灯演示; 清零输入:CLR 键,实现复位功能。 4 方案二; 图(2)方案二框图 时钟输入:给分频电路时钟脉冲; 控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动 进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类 推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循 环下去。 LED 显示:彩灯演示; 清零输入:CLR 键,实现复位功能; 方案选择:方案二; 选择理由:结构简单,易于
3、实现。 三、三、 选择器件选择器件 1、Quartus II 6.0 软件; 2、FPGA 适配器实验板:标准配置是 EP1C12Q240C8; 3、下载接口是数字芯片的下载接口(JTAG) ,下载形式为 USB-Blaster,主 要用于 FPGA 芯片的数据下载; 4、时钟源; 5、电源、八个 LED 灯。 5 四、四、 功能模块功能模块 1、LED(状态机)模块 图(3)LED(状态机)模块 LED 模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。 在完整的循环过程中,状态机中最先启动的是以 CP 为敏感信号的时序进程, 当时序进程被启动后,按顺序进行五种循环模式,当 CLR 接收到一个低电平脉 冲时,循环将自动恢复到第一种循环模式,继而进行循环. 2、LED 模块 VHDL 程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cdxs is port(led : out std_logic_vector(7 downto 0); vga: out std_logic_vector(3 downto 0); -控制小灯 亮暗 cp ,clr
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子 课程设计 八路 彩灯 循环 显示
