电子钟课程设计报告--数字钟设计
《电子钟课程设计报告--数字钟设计》由会员分享,可在线阅读,更多相关《电子钟课程设计报告--数字钟设计(8页珍藏版)》请在毕设资料网上搜索。
1、题目:数字钟设计题目:数字钟设计 一、实验目的一、实验目的 学习并掌握数字钟的原理、设计方法。 二、实验内容二、实验内容 计数时钟由模 60 秒计数器、模 60 分计数器、模 24 小时计数器、报时模块、分、时校 定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。 三、实验要求三、实验要求 1. 计时范围为 0 小时 0 分 0 秒至 23 小时 59 分 59 秒 2. 采用 6 个 8 段数码管分别显示小时十位,小时个位、分钟十位、分钟个位、秒十位、 秒个位。 3. 整点报时,蜂鸣器响 5 声,每秒 1 声。 4. 校时功能,能够单独校分、校时。用按键控制。 5. 具有清零
2、、启动/停止计数的功能。用按键控制。 四、实验原理四、实验原理 数字钟的基本原理是采用时钟源提供的频率作为秒模块的时钟进行计数,当秒模块计 数达到 59 秒时为分模块提供时钟,该时钟通过状态选择模块送到分模块,同理,分模块向 小时模块提供时钟时也是如此。整点报时模块是利用分钟向小时的进位时钟脉冲作为触发 源, 利用秒的个位计时以及实验板提供的时钟源频率达到报时五秒的目的。 译码显示模块则 通过 8421BCD 码与数码管各段的关系进行转化, 由于实验板不支持动态扫描所以需在秒, 分, 时的个位与十位都添加译码显示模块。 原理框图如下: 时钟源 秒模块 分模块 状态选择 模块 时模块 状态选择
3、模块 暂停信号 调分模块 数码管 数码管 数码管 报时模 块 五、模块设计五、模块设计 1、 秒计数模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity second is port(clk,rst:in std_logic; enmin:out std_logic; shiwei:out std_logic_vector(3 downto 0); gewei:out std_logic_vector(3 downto 0); end entity second; archit
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子钟 课程设计 报告 数字 设计
