数字逻辑课程设计报告——多功能数字钟的设计与实现
《数字逻辑课程设计报告——多功能数字钟的设计与实现》由会员分享,可在线阅读,更多相关《数字逻辑课程设计报告——多功能数字钟的设计与实现(13页珍藏版)》请在毕设资料网上搜索。
1、 多功能数字钟的设计与实现多功能数字钟的设计与实现 学院名称学院名称: 计算机学院计算机学院 专业班级专业班级: 通信工程通信工程 学生姓名学生姓名: 学生学号学生学号: 指导老师指导老师: 2012 年年 6 月月 25 日日 1 一、一、 设计任务及要求:设计任务及要求: (1) 拥有正常的时、分、秒计时功能。 (2) 能利用实验板上的按键实现校时、校分及秒清零功能。 (3) 能利用实验板上的扬声器做整点报时。 (4) 闹钟功能。 (5) 在 QUARTUS 中采用层次化设计方法进行设计。 (6) 完成全部电路设计后在实验板上下载,验证设计课题的正确性。 二、二、 多功能数字钟的总体设计方
2、案多功能数字钟的总体设计方案 根据总体设计框图,可以将整个系统分成 6 个模块来实现,分别是计时模块、校时模块、整点报时模块, 分频模块,动态显示模块,闹钟模块。 设计总图: 1. 计时模块计时模块 该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十 四进制的计数器用于计时,六十进制计数器用于计分和计秒。只要给秒计数器一个 1Hz 的时钟脉冲,则可以进 行正常计时。分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计数器的进位作为计数脉冲。 2 clkql30 qh30 tc cnt24 inst clk clr ql30 qh30 tc cnt60
3、 inst1 NOT inst15 VCC jm30 jm74 jh30 jh74 (24 进制计数器构成时计数器,60 进制计数器构成的秒、分计数器) 24 进制的仿真图: 3 60 进制的仿真图 以下是计时模块设计 VHDL 语言: (1)library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port(clk:in std_logic; ql,qh:out std_logic_vector(3 downto 0); tc:out std_logic); end
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数字 逻辑 课程设计 报告 多功能 设计 实现
