数字电子课程设计报告--汽车尾灯控制电路设计
《数字电子课程设计报告--汽车尾灯控制电路设计》由会员分享,可在线阅读,更多相关《数字电子课程设计报告--汽车尾灯控制电路设计(11页珍藏版)》请在毕设资料网上搜索。
1、 汽车尾灯汽车尾灯控制电路设计控制电路设计 班级:班级: 学号:学号: 姓名:姓名: 指导教师:指导教师: 一、 总体设计要求及系统功能描述 设计一个汽车尾灯控制的电路; 1) 用 6 个发光二极管模拟 6 个汽车尾灯(左、右各 3 个, 高电平点亮) ,用 2 个开关作为左转弯、右转弯控制信 号(高电平有效) 。 2) 当汽车往前行驶时,6 个灯全灭; 3) 汽车左转时,左边三个灯顺序点亮,右边灯则全不亮; 反之,右转时,情况相反; 4) 踩刹车时,汽车灯全亮; 5) 紧急情况时,左边灯和右边灯都亮,但是都是闪烁状 态。 二、 系统设计方案论述 1) 端口 数据类型 功能 备注 CLK ST
2、D_LOGIC 时钟信号 输入 zuo STD_LOGIC 左转向信 号 输入 you STD_LOGIC 右转向信 号 输入 sha STD_LOGIC 刹车信号 输入 en STD_LOGIC 双闪信号 输入 z_out STD_LOGIC_VECTOR(2 左灯输出 输出 downto 0) y_out STD_LOGIC_VECTOR(2 downto 0) 右灯输出 输出 2) 顶层电路图 3)设计源程序说明: library ieee; use ieee.std_logic_1164.all; entity weideng is port(zuo,you,clk,en:in std
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数字 电子 课程设计 报告 汽车 尾灯 控制 电路设计
