EDA课程设计--电子时钟
《EDA课程设计--电子时钟》由会员分享,可在线阅读,更多相关《EDA课程设计--电子时钟(24页珍藏版)》请在毕设资料网上搜索。
1、 1 电子设计自动化 EDA 课程设计 题目 电 子 钟 设 计 专业 电子信息科学与技术 班级 学号 姓名 2 一、设计要求 1.基本功能要求: 设计一个电子时钟,要求可以显示时、分、秒,用户可以通过按键来设置 时间。 2.扩展功能要求: 秒表功能,闹钟功能,调整数码管的亮度。 二、工作原理(F-7) 输入信号: 键 1(key1)使能键; (调时控制加一,控制秒表走时。 ) 键 4(key4)复位键; (设置时间时通过键 4 选择时、分、秒的十位和个位 进行调整,秒表复位,在亮度模式下调节亮度。 ) 键 7(key7)模式转换键; (通过键 7 在走时、调表、秒表、闹钟、亮度模 式之间切换
2、。 ) 键 8(key8)设定开关键; (控制走时,停表。 ) Clock0:时钟信号。 输出信号: sg 扫描显示段选; bt 扫描显示位选; laba 喇叭输出。 实验电路结构图 NO.7: 3 F7 4 一、设计要求 1.基本功能要求: 设计一个电子时钟,要求可以显示时、分、秒,用户可以通过按键来设置 时间。 2.扩展功能要求: 秒表功能,闹钟功能,调整数码管的亮度。 二、VHDL 代码 1、分频模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is p
3、ort ( clk : in std_logic;-10m 频 clk_10000 : out std_logic;-1000 分频 clk_100 : out std_logic;-100k 分频 clk_1 : out std_logic-10m 分频 ); end entity; architecture one of fenpin is signal Q1 : integer range 0 to 500; signal Q2 : integer range 0 to 50000; signal Q3 : integer range 0 to 5000000; signal clk10
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 课程设计 电子 时钟
