EDA课程设计---基于FPGA的半整数分频器设计
《EDA课程设计---基于FPGA的半整数分频器设计》由会员分享,可在线阅读,更多相关《EDA课程设计---基于FPGA的半整数分频器设计(14页珍藏版)》请在毕设资料网上搜索。
1、 课 程 设 计 报 告 设计名称设计名称 EDA(VHDL)课程设计)课程设计 专业班级专业班级 电子电子 0942 姓姓 名名 学学 号号 电气与信息工程学院电气与信息工程学院 二二 0 0 一二一二年年一一月月 基于基于 FPGAFPGA 的半整数分频器设计的半整数分频器设计 一、系统设计任务及功能概述 本系统是利用VHDL硬件描述语言和原理图输入方式, 通过MUX+PLUS开发软件和ALTER 公司的 FLEX 系列 EPF10K10LC84-4 型 FPGA 方便的完成了半整数分频器电路的设计。本系统 是通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。 二、系统设
2、计方案和程序设计 1系统设计方案 我们采用脉冲吞吐计数器和锁相环技术, 先设计两个不同分频比的整数分频器, 然后通 过控制单位时间内两种分频比出现的不同次数来获得所需要的 5.5 分频值。 该分频器电路可 由一个异或门、一个模 6 数器和二分频器组成。这样可以实现分频系数为 5.5 分频器以及 11 分频。 设计框图如下所示 模N计 数 器 异 或 门 2分 频 器 f0/(N-0.5)f0/(2N-1) OUTCLK(2.5分 频 ) Q(五 分 频 ) Q(5分 频 ) f0=5MHz 2VHDL 程序设计 (1)模 6 计数器 VHDL 描述设计 该计数器可产生一个分频系数为 5.5 分
3、频器,并产生一个默认的逻辑符号 cont6。其输 入端口为 rst、en 和 clk;输出端口为 qa,qb,qc。下面给出模 6 数器的 VHDL 描述代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cont6 is port(clk:in std_logic; rst:in std_logic; en:in std_logic; qa,qb,qc:out std_logic); end entity cont6; architecture wode of cont6
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中设计图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 课程设计 基于 FPGA 整数 分频器 设计
