1、 多多 功功 能能 电电 子子 时时 钟钟 报报 告告 题目:多功能数字钟 - - 1 - 一、实验目的一、实验目的 1.学习数字系统设计的自顶向下设计法及控制器的设计。 2.加深利用 EDA 技术实现数字系统的体会。 二、实验仪器及器件二、实验仪器及器件 1.EDA 开发软件(Quartus7.2) (1 套) 2.电脑(1 台) 3.实验板(1 个) 三、实验要求及设计方案三、实验要求及设计方案 1.设计一个具有 24 进制计时、 显示、 整点报时、时间设置和闹 钟功能的数字钟,要求时钟的最小分辨率时间为 1s。 2.数字钟的设计方案如下: 系统输入系统输入:mode 为计时显示和闹钟定时
2、显示转换输入;set 为 校时和定时设置的时、分、秒转换输入;k 为校时的时、分、秒手动 加 1 输入;4*4 矩阵键盘为闹钟设置调节闹钟的时、分、秒、时钟的 清零以及暂停;clk40M 为板载时钟信号;reset 为系统复位信号。输 入信号均由按键和 4*4 矩阵键盘产生。 系统输出:系统输出:七段数码管显示输出;蜂鸣器(bell)声音信号输 出(用 LED 灯代替)。 3.多功能数字钟系统功能的具体描述如下: 计时:计时:正常工作状态下,每日按 24 小时计时制计时并显示,蜂 鸣器逢整点报时。 校时:校时:在计时显示状态下,按下“set 键” ,进入“小时”校时 状态, 再次按下 “set
3、 键” , 进入 “分” 校时状态, 继续按下 “set 键” , 进入“秒”校时状态,第四次按下“set 键”又回复到正常计时显示 状态。 1) “小时”校时状态:进入“小时”校时状态后,显示“小时” 的数码管闪烁,每按动“k” 键一次, “小时”+1,若不按动“k”键 则小时数不变,一直按下“k” 键则小时数以 4Hz 的频率递增计数。 2) “分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“k” 键一次, “分”+1,若不按动“k”键则分数 不变,一直按下“k” 键则分数以 4Hz 的频率递增计数。 3) “秒”校时状态:进入“秒”校时状态后,显示“秒”的数 码管闪
4、烁,每按动“k” 键一次, “秒”+1,若不按动“k”键则秒数 不变,一直按下“k” 键则秒数以 4Hz 的频率递增计数。 整点报时:整点报时:蜂鸣器在“59”分钟的第 51、53、55、57 秒发出 频率为 512Hz 的低音,在“59”秒发出频率为 1024Hz 的高音,结束 时为整点。 显示:显示:采用 6 个 LED 数码管分别显示时、分、秒。 闹钟:闹钟:闹钟定时时间到,蜂鸣器发出周期为 1s 的滴、滴声, - - 2 - 持续时间为 10 秒;闹钟定时显示。 闹钟定时设置:闹钟定时设置:在闹钟显示状态下,按下“set 键” ,进入“小 时”校时状态,再次按下“set 键” ,进入“
5、分”校时状态,继续按 下“set 键” ,进入“秒”校时状态,第四次按下“set 键”又回复到 闹钟显示状态。 闹钟的时、分、秒设置过程和计时设置有所不同,在闹钟闪烁 的状态下,可以直接在 4*4 的矩阵键盘上输入想要调整的时间即可。 计时显示和闹钟显示之间的转换:按动“mode”键,数字钟将 在计时显示和闹钟定时显示之间转换。 4)多功能数字钟系统结构逻辑框图如下: 5)控制器的 MDS 图如下: s0 s0 s0 s1 s2 s3 s7 s6 s5 s4 mode1 mode1 set=1 set=1 set=1 set=1 set=1 set=1 set=1 S0: S0: 显示计时时间
6、显示计时时间 S1: S1: 调计时的时调计时的时 S2: S2: 调计时的分调计时的分 S3: S3: 调计时的秒调计时的秒 S4: S4: 显示闹钟时间显示闹钟时间 S5: S5: 调闹钟的时调闹钟的时 S6: S6: 调闹钟的分调闹钟的分 S7: S7: 调闹钟的秒调闹钟的秒 控 制 器 set k reset clk 计时 校时 电路 显示 选择 控制 电路 动 态 显 示 电 路 分 频 器 Clk40 f1024 f4 f 闹钟 定时 比较 电路 蜂 鸣 器 mode set=1 - - 3 - 四、各功能模块的源程序代码四、各功能模块的源程序代码: : - fenpin 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port( clk40M,pause: in std_logic; clk1000hz,clk1hz,clk4