欢迎来到毕设资料网! | 帮助中心 毕设资料交流与分享平台
毕设资料网
全部分类
  • 毕业设计>
  • 毕业论文>
  • 外文翻译>
  • 课程设计>
  • 实习报告>
  • 相关资料>
  • ImageVerifierCode 换一换
    首页 毕设资料网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA课程设计--矩阵键盘接口设计

    • 资源ID:1413420       资源大小:560.50KB        全文页数:10页
    • 资源格式: DOC        下载积分:100金币
    快捷下载 游客一键下载
    账号登录下载
    三方登录下载: QQ登录
    下载资源需要100金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

    EDA课程设计--矩阵键盘接口设计

    1、 1 矩阵键盘接口设计矩阵键盘接口设计 题目:题目:矩阵键盘接口设计矩阵键盘接口设计 一、一、 实验实验要求:要求: 用 12 个按键开关实现如表 1 所示的矩阵键盘,某一 时刻在数码管上显示器对应的键值。 表 1 二、实验二、实验目的:目的: 1. 了解用 12 位按键输入开关来设计并实现一个 3*4 矩阵键盘接口控制器。 2. 了解弹跳消除电路的工作原理。 3. 理解 7 段数码管显示电路的原理。 三、硬件要求:三、硬件要求: 主芯片 EPF10K10LC84-4。 可变时钟源。 12 位按键开关。 一位 7 段数码管。 四、实验四、实验原理原理 该实验系统中没有矩阵键盘, 可以用 12

    2、位按键开关来实现矩阵键盘的功能。 表 1 为按键开关所对应的键值,当按键被按下时改按键的节点会呈现0状态, 反之为1 。将 12 个按键进行编码后就可以实现矩阵键盘的功能。 键盘编码电路: 由于每个按键开关都是独立的,故有 12 路输入,3X4 键盘有 12 个键值,4 位二进制数即可表示全部状态。因此键盘编码电路为 12 输入 4 编码器,12 个按 键可分为 10 个数字键和两个功能键。数字键主要用来输入数字,功能键一般实 现一些特殊用途(如确认,清除等) 。4 位输出从 09 表示 10 个数字键,11 和 12 表示两个功能键。 弹跳消除电路弹跳消除电路:因为按键开关是机械式结构,在开

    3、关切换的瞬间会在接触点 出现来回弹跳的现象,对于激活关闭一般电器并不会有何影响,但对于灵敏度较 高的电路,却有可能产生误动作而出错。跳现象产生的原因可从图 1 说明。虽然 只是按下按键一次然后放开,然而实际产生的按键信号却不只跳动一次,经过取 样的检查后将会造成误判,以为按键两次。 1 2 3 4 5 6 7 8 9 0 * # 2 图 1 弹跳现象产生错误的抽样结果 图 2 调整抽样频率后得到的抽样结果 因此在开关输入信号处必须加上弹跳消除电路,避免误操作信号的发生。注 意:弹跳消除电路所使用脉冲信号的频率必须要选用合适,频率太低则按键反应 痴动,频率太高则起不到消除弹跳的作用,而且消除弹跳

    4、电路设计的不同对频率 也有不同的要求。 弹跳消除电路程序代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library altera; use altera.maxplus2.all; entity debouncing is port ( d_in,clk:in std_logic; dd1,dd0,qq1,qq0:out std_logic; d_out,d_out1:out std_logic); end deboun

    5、ce; architecture a of debounce is signal vcc,inv_d:std_logic; 3 signal d1,d0:std_logic; begin vccq0,clk=clk,clrn=inv_d,prn=vcc); dff2:dff port map(d=vcc,q=q1,clk=clk,clrn=q0,prn=vcc); process (clk) begin if clkevent and clk=1 then d0=not q1; d1=d0; end if ; end process; d_out =not (d1 and not d0); e

    6、nd a; 程序说明: (1)因为必须取样到三次以上的“1” ,才会输出 1,两次“0” ,才会输出 0; (2) 微分的目的是让每一次对按键反应的时间长度都维持一个脉冲波形的时 间长度。 五、实验五、实验内容内容 分析仿真示例程序理解弹跳消除的实现原理。通过改变 CLK 信号,理解时 钟信号对弹跳消除的影响,分别把时钟调高、调低观察按键反应情况。 六、实验连线六、实验连线 实验原理图如图 3 所示: 图 3 矩阵键盘控制接口原理图 程序步骤: 1. 软件的启动:进入 altera 软件包,打开 MAX+plus 软件如下图 4 所示。 4 图 4 max+plus 页面 2. 启动 fileopen菜单,弹出设计输入方式选择窗口,如下图 5。如图选择原图, 单击 ok 键,即把原理图打开。 图 5 文件选择编辑 3. 点击 fileprojectset project to current file 设置此项目为当前文件。启动 MAX+PLUScompiler 编译器菜单,按 start 开始编译: 5 图 6 矩阵键盘控制接口原理图编辑结果 4.


    注意事项

    本文(EDA课程设计--矩阵键盘接口设计)为本站会员(课***)主动上传,毕设资料网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请联系网站客服QQ:540560583,我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们
    本站所有资料均属于原创者所有,仅提供参考和学习交流之用,请勿用做其他用途,转载必究!如有侵犯您的权利请联系本站,一经查实我们会立即删除相关内容!
    copyright@ 2008-2025 毕设资料网所有
    联系QQ:540560583