1、 1 课课 程程 设设 计计 说说 明明 书书 课程名称课程名称 EDA 课程设计 题题 目目 多功能数字钟 2 课程设计任务书课程设计任务书 设计题目 多功能数字钟设计多功能数字钟设计 一、 课程设计目的 1、综合运用 EDA 技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的 能力; 2、结合理论知识,考察阅读参考资料、文献、手册的能力; 3、进一步熟悉 EDA 技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实 现方法和应用技巧; 4、锻炼撰写研究报告、研究论文的能力; 5、通过本实践环节,培养科学和严谨的工作作风。 二、 设计内容、技术条件和要求 l、能进行正常的
2、时、分、秒计时功能,分别由 6 个数码显示 24 小时、60 分钟的计数 器显示。 2、能利用实验系统上的按钮实现“校时” 、 “校分”功能; (1)按下“SA”键时,计时器迅速递增,并按 24 小时循环; (2)按下“SB”键时,计时器迅速递增,并按 59 分钟循环,并向“时”进位; (3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。 3、能利用扬声器做整点报时: (1)当计时到达 5950”时开始报时,频率可为 500Hz; 计满 23 小时后回零;计满 59 分钟后回零。 (2)到达 5959”时为最后一声整点报时,整点报时的频率可定为 lKHz。 4 定时闹钟功能 5、用层次化
3、设计方法设计该电路,用硬件描述语言编写各个功能模块。 6、报时功能。报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是 否正确。 三、 时间进度安排 1 周:(1) 完成设计准备,确定实施方案;(2) 完成电路文件的输入和编译;(4) 完成功能仿 真。 2 周:(1) 完成文件至器件的下载,并进行硬件验证;(2) 撰写设计说明书。 四、 主要参考文献 (1)谭会生、瞿遂春, EDA 技术综合应用实例与分析 ,西安电子科技大学出版社,2004 (2)曹昕燕、周凤臣等, EDA 技术实验与课程设计 ,清华大学出版社,2006 3 指导教师签字: 2010 年 9 月 5 日 引言引言:
4、 人类社会已进入到高度发达的信息化社会。 信息化社会的发展离不开电子信息产品开发 技术、 产品品质的提高和进步。 实现这种进步的主要原因就是电子设计技术和电子制造技术 的发展, 其核心就是电子设计自动化(EDA)技术, EDA 技术的发展和推广应用又极大地推动 了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设 计要求,EDA 技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技 术教学和产业界的技术推广中已形成“EDA 热”,完全可以说,掌握 EDA 技术是电子信息类 专业学生、工程技术人员所必备的基本能力和技能。 实验原理:实验原理: 用层次化
5、设计的方法以 VHDL 语言编程实现以下功能: 【1】 具有“时”、“分”、“秒”计时功能;时为 24 进制,分和秒都为 60 进制。 【2】 具有消抖功能:手工按下键盘到是否这个过程大概 50ms 左右,在按下开始到弹簧 片稳,定接触这段时间为 5-10ms,从释放到弹片完全分开也是 5-10ms,在达到稳定 接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按 下时延时 10ms 再检测就不会检测到抖动的毛刺电平了。 64Hz 的信号周期为 15.6ms, 正适合做消抖信号。 【3】 具有校时和清零功能,能够用 4Hz 脉冲对“小时”和“分”进行调整,并可进行秒清零;
6、【4】 具有整点报时功能。在 59 分 51 秒、53 秒、55 秒、57 秒发出低音 512Hz 信号,在 59 分59秒发出一次高音1024Hz信号,音响持续 1秒钟,在1024Hz音响结束时刻为整点。 【5】 具有一键设定闹铃及正常计时与闹铃时间的显示转换。闹时时间为一分钟。 程序流程:程序流程: 秒计数器模块设计:秒计数器模块设计:模块图如图1。六十进制带进位计数器,可清零,clk 输入信号为 1Hz 脉冲,当 q0计满9后 q1增加1,当 q0满9且 q1记满5,q1、q0同时归零,co 输出为 高电平。q1为十位 q0为个位。 clk clr co cq030 cq130 second inst 图1 程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity second