1、数字逻辑课程设计之电子琴数字逻辑课程设计之电子琴 实验内容:实验内容: 设计一个八音电子琴, 能通过八个键进行手动控制音响, 也可以 通过自动控制有效自动播放一段音乐。 实验设计:实验设计: 本实验分为四个模块: 一个模块是主程序, 一个模块是自动播放 音乐部分,一个模块是音调发生器部分,一个模块是数控分频部分。 通过接口和结构体 component 的定义将各个模块连接起来。 本程序总的有 11 个输入,1 个输出,2 个输入为时钟脉冲,1 个 输入是控制电子琴自动演奏或者手动演奏的使能端,8 个输入端为电 子琴的八个键,1 个输出为扬声器输出音乐。 在音调发生器部分中, 需要按照模=500
2、00/频率的方法进行分频, 但是要达到提高音量使占空比为 50%的效果还需要再对信号进行二 分频,因此最后得到的音调发生器进行分频预置值如下: 音符 (C) 1 2 3 4 5 6 7 i 频率(Hz) 262 294 330 349 392 440 494 523 模 95 85 75 71 63 56 50 47 当某位音符电平输入有效时, 对应不同的分频预置值,将分频预置值 输出并作为数控分频部分的输入,分频预置值控制对主频的分频。 在数控分频部分,将输入的分频预置值和时钟脉冲作为输入, 最后输出直接连接扬声器。这一部分一共包含了两个进程,第一个进 程是根据不同音符的不同频率进行在主频下
3、进行分频, 得到音符的相 应频率,第二个进程是为了提高音量使占空比为 50%而进行二分频。 在自动播放部分, 1 个时钟脉冲输入,1 个自动播放的使能端输 入,还有 8 个音符的键为输入,输出为音符对应的 8 位高低电平,输 出连接到音调发生器的 8 位输入,如果自动播放的使能端(auto)无 效则进行手动操作,在手动操作下,该模块的输出直接为输入。如果 自动播放的使能端输入有效, 则播放事先存储的一段音乐,首先将主 频 50进行 10000 分频,因此一个脉冲为.秒,每来一个脉 冲进行计数并播放一个音符。 直到设置的音符全部播放完毕,计数器 回。 源代码:源代码: 主模块部分:定义了结构体和
4、各模块函数 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity piano is port(clk0,clk1:in std_logic; auto:in std_logic; inplay:in std_logic_vector(7 downto 0); play:out std_logic); end piano; architecture run of piano is component autoplay is 自
5、动播放部分 port(A:in std_logic_vector(7 downto 0); B,C:in std_logic; D:out std_logic_vector(7 downto 0); end component; component tone is 音调发生器部分 port(A:in std_logic_vector(7 downto 0); B:out integer range 0 to 170); end component; component control is 数控分频部分 port(A:in std_logic; B:in integer range 0 to
6、170; C:out std_logic); end component; signal sw0:std_logic_vector(7 downto 0); 自动播放部分的输出和音调发生器的输入,表示八个音符 signal tone0:integer range 0 to 170; 音调发生器的输出和数控分频部分的输入,表示音符的频率 begin 在这个进程中把各个部分连接起来 G1:autoplay port map(A=inplay,B=auto,C=clk0,D=sw0); G2:tone port map(A=sw0,B=tone0); G3:control port map(A=clk1,B=tone0,C=play); end run; 自动播放模块:自动播放模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity autoplay